案例介绍

键盘消抖

作者[Author]:fengyatou 验证[Verified]:No 浏览次数 [Views]:19
字体大小 [Fonts]: 14px 16px 18px

概要[Abstract]        按键开关是电子设备实现人机对话的重要器件之一。由于大部分按键是机械触点, 在触点闭合和断开时都会产生抖动。为避免抖动引起误动作造成系统的不稳定,就要求消除按键的抖动, 确保按键每按一次只做一次响应。本设计通过对按键延时来实现的,这种方法设计的消抖电路能够很好地实现电路功能,进行快速按键时都能保证每按一次做一次的响应, 且性能稳定。
该设计中设计了键盘消抖模块以及其test_bench,最后在Robei可视化仿真软件进行能实现和仿真验证。

        按键消抖的关键是提取稳定的低电平(或高电平) 状态, 滤除按键稳定前后的抖动脉冲。在用基于verilog 语言的时序逻辑电路设计按键消抖电路时, 可以用一个时钟脉冲信号对按键状态进行取样,当第一次采样到低电平时,启动延时电路,延时结束后,又来一个时钟脉冲再对按键信号进行取样,如果前后两次次取样都为低电平,则可以认为按键已经处在稳定状态, 这时输出一个高电平的按键确认信号。此时对应的led灯被点亮。
本课程设计的具体要求如下:
(1) 设计一个4路独立键盘输入电路,读取键盘的键值并通过发光二极管显示出来。
(2) 输入为时钟信号CLK,按键状态KEY1,KEY2,KEY3,KEY4。
(3) 输出为led1,led2,led3,led4。根据按键的状态控制发光二极管的亮灭。
近似设计 [Similar] 更多 [More]

 
Copyright © Robei | | 鲁ICP备14018662号 |